Quantcast
Channel: Top VLSI Interview Questions :: ALLInterview.com
Viewing all articles
Browse latest Browse all 16

Implement D flip-flop with a couple of latches? Write a VHDL Code for

$
0
0
library ieee; use ieee.std_logic_1164.all; entity d_ff is port(d,clk:in std_logic; q,q'bar:out std_logic); end d_ff; architecture a_d_ff of d_ff is begin process(clk) begin if rising_edge(clk) then q<=d; q'bar<=no

Viewing all articles
Browse latest Browse all 16

Trending Articles